繁体版 简体版
159TXT > 游戏 > 碧蓝航线:我是舰娘! > 第850章 HCT88

......

“是主人回来了吗...”

白色椭圆形的机器人,暂且被江林雅叫做鸭蛋。

鸭蛋围绕着江林雅上上下下的转了几圈,觉得不对,又扫描了一下。

“年龄?”

“一岁半?”

鸭蛋突然沉默了。

并且飞离江林雅三米远。

“你是另一个江林雅,你得到了后台指令,来到了这里...这代表着我的主人现在已经不在这里了,对吗?”

江林雅点了点头。

“好吧...该运行一段指令了。”

一束蓝色的光照射在江林雅的身上。

“名称。”

“江林雅。”

“年龄...等会,刚才说过了。”

“性别。”

“你看不出来吗?”

“这必须得你亲口说出来,确认一下啊。”

“额...女,你这么高级的机器还问这种问题...”

鸭蛋没有回复,原地加载了一会。

“好了,核心系统初始化完成,信息删除完成,现在,您就是我的主人了。”

鸭蛋在江林雅身边又飘了几圈。

“所以...我能干嘛?”

“嗯...考虑您是第一次使用核心...”

隆隆隆...

一条机器臂从上方伸出,抛出了一本堪比字典厚度的书。

书本自由下落,落到鸭蛋旁边停了下来,悬浮在二人身边。

“这是核心的使用说明书,在您下达任何指令之前,我在此建议您先读几遍这个书,最好直接背下来。”

江林雅接过那本核心说明书,掂量了一下重量。

“额......”

很不想读书...

“我不看这书能下命令吗?”

江林雅翻了几页,合上,看着鸭蛋。

“可以哦,可以执行的,不可执行的,我会提醒的,以及我具体能做什么,请翻到核心使用说明书第68章第655页,有着关于核心的简单介绍。”

“那前面654页都是什么,为什么介绍在这么后面。”

“前面都是一些前提警示,在前主人造出的众多核心中,有不少操作人员因为失误而失去了他们的性命,当然了,对于主人您来说,全部可以忽略,我也不知道留着这些干嘛,现在核心都更新到这么多代了,老问题都已经解决了,也不需要人来操控了,主人又这么强大,完全可以不用管这些。”

鸭蛋在一旁说着,江林雅拿着说明书看着。

稍微总结了一下。

核心的功能有,充能,汲取星球能源,时间线传送器,锚点,武器装备的生产,知识储存库,监视星球,百度。

“这后面这个,百度是什么意思啊。”

“正如主人所想,问什么,我知道的,我就能答出来,还能答出很多条,太多的话,我会直接生成一块显示屏,将答案一一列举。”

“诶...这功能好诶...”

江林雅最近正好有一些问题需要解决。

就在江林雅刚开口想要询问的时候,系统说道:“宿主,让核心的知识储存库与星舰做一下连接,指令是,口头说出:申请栏,同意临时连接权限。”

听后,江林雅没有想太多,便说出指令:“申请栏,同意临时连接权限。”

“确认要与TTK-恒星级歼星舰‘江林雅’号进行信息连接吗?一些机密可能会被盗取。”

“确认。”

......

因为最近作者身体不咋样,所以码字字数可能少一些...

温馨提示:方向键左右(← →)前后翻页,上下(↑ ↓)上下滚用, 回车键:返回列表

投推荐票 上一章 章节目录 下一章 加入书签